Skip to main content

Design Engineer - STA, SD, Power, PDN at Dew Software

Hello Dear Readers,   Currently at Dew Software Bangalore vacancy for Design Engineer - STA, SD, Power, PDN role. Dew Software, a leading player in the Digital Transformation space, is seeking a skilled Design Engineer specializing in STA (Static Timing Analysis), SD (Signal Integrity), Power, and PDN (Power Delivery Network) to join our team. Working with Fortune 500 companies to support their digital innovation and transformation strategies, the Design Engineer will be responsible for ensuring the integrity and efficiency of digital designs through comprehensive analysis and optimization. Dew Software is dedicated to delivering exceptional outcomes with cutting-edge technologies, and this is an excellent opportunity to contribute to the growth and success of our clients. Responsibilities: Perform STA (Static Timing Analysis) to ensure design meets timing requirements Conduct signal integrity analysis to optimize signal integrity and minimize signal integrity issues Provide power anal

The Design For Testability (DFT) and its necessity

 Hello Dear Readers,

Today In this post I will explain DFT and its necessity in VLSI.

In the practical ASIC design, the DFT is used to find out various kinds of faults in the design. For FPGA designs this step is excluded. The necessity of DFT is for early detection of the faults in the design using scan chain insertions. The functional abstraction of defects is called a fault and the abstraction of the fault is the system-level error. Physical testing is carried out after manufacturing of chip to understand the fabrication-related issues or faults. The defects in the design can be physical or electrical. Physical defects are due to silicon or defective oxide. Electrical defects are short, open, transistor defects and changes in the threshold voltage. A few of the faults in the design are following 
1. Stuck at faults: Stuck at one or Stuck at zero 
2. Memory faults or pattern-sensitive faults 
3. Bridging faults 
4. Crosspoint faults 
5. Delay faults 

The testing process is the process of test pattern generation, test pattern application and output evaluation. 
Generally, the test flow includes the following: 
1. Identify the target faults 
2. Test generation 
3. Fault Simulation 
4. Testability 
5. DFT 

Design For Testability (DFT):

The DFT is required to reduce the defect level in the design. Consider the following design; in this design, it is not possible to give the test input so the design is not testable. The DFT uses the concept of controllability and observability. 
The key steps are

1. RTL design 
2. Simulation 
3. Synthesis 
4. Insert scan chain 
5. Layout 

If every data input of the register need to be forced to the known value during the test, then the design is controllable. Observability indicates the ability to observe the node at the primary output. The de-sign needs to be controllable and observable. As shown in the following Fig-1, the design input of comb_logic1 is controllable and the output from comb_logic3 is observable. But comb_logic1 and comb_logic2 are not observable. So for the detection of faults, it is essential to make comb_logic1, comb_logic2, and comb_logic3 controllable as well as observable.
Figure-1

The basic DFT techniques are Ad-HOC DFT and Structured DFT. The structured DFT includes the scan-based DFT which is again classified as MUX - based DFT and level–sensitive, element-based DFT. Another structured DFT technique is MBIST and LBIST. JTAG is used for boundary-scan. The basic MUX-based technique is described below. • MUX-based scan cell The MUX-based scan cell is shown below Fig-2, and it has additional inputs as Test_data, Scan_en. The MUX is inserted at the input of the D flip-flop and during testing Scan_en=1 the D input is Test_data. During normal operation, the Scan_en=0 and Data_in can pass through the combinational logic to the D input. Thus, the following cell works both in the test and normal modes. The clk can be scan_clk during the test mode.
Figure-2

MUX-based scan chain: 

The normally used method is the insertion of the scan by using MUX logic. MUX-based scan cell shown in the above figure is used to replace the sequential elements from the design. Depending on the requirements the design team decides whether to use the partial scan method or the full scan method. In the partial scan method, few of the sequential elements are replaced by the MUX-based scan cell. In the full scan method, all the sequential elements are replaced by the MUX-based scan cell. Due to scan insertion, the area and timing of the design have a significant impact. Scan insertion increases the area of the design and due to added MUX-based logic even affects the timing of the design. The following example shows the scan chain using MUX-based scan cells. Most of the time, the partial scan is recommended if area and timing is the constraint but this reduces overall fault coverage. If the full scan is used then it increases area and has a significant impact on timing but this improves overall fault coverage.
Figure-3

Scan Design rules:
Following are a few of the scan design rules that need to be considered: 

1. Generated clocks in the design: There should not be generated clocks in the design as they are not controllable 
2. Combinational feedback loop: There should not be any combinational loop in the design as it creates issues in the timing analysis and hence it is essential to break the combinational loop 
3. Gated clocks: Gated clocks need to be avoided as they are not controllable 
4. Asynchronous Control signals: There should not be any internally generated asynchronous control signals 
5. Do not mix the positive and negative edge-triggered flip-flops 
6. Avoid the use of latches in the design 
7. If shift registers are used then do not replace them by using scan enabled flipflops but only ensure the enable control 
8. Do not use the clock input as data 
9. Bypass the memories during DFT



Connect with me 



Comments

  1. Now DFT basics concepts is cleared but can you tell me how to simulate in CAD tools.

    ReplyDelete

Post a Comment

Popular posts from this blog

Apprenticeship CAI at MediaTek Bangalore

Hello Dear Readers,   Currently at MediaTek Bangalore vacancy for an Apprenticeship CAI role. Job Description: B.Tech degree in Electrical/Electronics Engineering with a strong educational background in Digital circuit design Experience in physical design of high performance design with frequencies > 2 Ghz. Experienced in hierarchical design, budgeting, multiple voltage domains and multiple clock domains. Strong skills with Cadence Encounter. Solid understanding of STA and timing constraints. Experienced in working on advanced process nodes (16nm). Strong expertise in Physical Verification to debug LVS/DRC issues at the block level. Requirement: B.Tech degree in Electrical/Electronics Engineering with strong educational background in Digital circuit design Experience in physical design of high performance design with frequencies > 2 Ghz. Experienced in hierarchical design, budgeting, multiple voltage domains and multiple clock domains. Strong skills with Cadence Encounter. Solid

Power Analysis in the VLSI Chip Design

  Hello Dear Readers,   Today in this series of posts I will provide some deep insight into Power Analysis in the VLSI Chip Design. The power analysis flow calculates (estimates of) the active and static leakage power dissipation of the SoC design. This electrical analysis step utilizes the detailed extraction model of the block and global SoC layouts. The active power estimates depend on the availability of switching factors for all signals in the cell netlist. Representative simulation test cases are applied to the netlist model, and the signal value change data are recorded. The output data from the power analysis flow guide the following SoC tape out release assessments:  Total SoC power specification (average and standby leakage): The specification for SoC power is critical for package selection and is used by end customers for thermal analysis of the product enclosure. In addition to the package technology selection, the SoC power dissipation is used to evaluate the die attach ma

IC Physical Design (PnR) at Ulkasemi

Hello Dear Readers,   Ulkasemi  has a vacancy for an IC Physical Design (PnR) role. Job Overview: As a full-time Trainee Engineer, the individual will be working on IC Physical Design implementation from RTL to GDSII to create design databases ready for manufacturing with a special focus on power, performance & area optimization with next-generation state-of-the-art process technologies. Job Responsibilities: Perform physical design implementation which includes Floor planning, Power Planning, Clock Tree Synthesis, Place and Route, ECO, Logic Equivalence checks Timing analysis, physical & electrical verification, driving the sign-off closure meeting schedule, and design goals Develop flow, methodologies, and automation scripts for various implementation steps Follow the instructions, compile documents, prepare deliverables, and report to the team lead Should remain up to date with the latest technology trends Educational Qualification:   B.Sc/M.Sc   in EEE or equivalent degree