Skip to main content

Posts

Showing posts with the label STA (Static timing analysis)

Design Engineer - STA, SD, Power, PDN at Dew Software

Hello Dear Readers,   Currently at Dew Software Bangalore vacancy for Design Engineer - STA, SD, Power, PDN role. Dew Software, a leading player in the Digital Transformation space, is seeking a skilled Design Engineer specializing in STA (Static Timing Analysis), SD (Signal Integrity), Power, and PDN (Power Delivery Network) to join our team. Working with Fortune 500 companies to support their digital innovation and transformation strategies, the Design Engineer will be responsible for ensuring the integrity and efficiency of digital designs through comprehensive analysis and optimization. Dew Software is dedicated to delivering exceptional outcomes with cutting-edge technologies, and this is an excellent opportunity to contribute to the growth and success of our clients. Responsibilities: Perform STA (Static Timing Analysis) to ensure design meets timing requirements Conduct signal integrity analysis to optimize signal integrity and minimize signal integrity issues Provide power anal

SDC (Synopsys Design Constraints) contents part 4

Today, we will be discussing the remaining constraints mentioned in the SDC, which pertain to timing exceptions and design rules. This is the final part of the SDC contents. This is going to be interesting, especially with multicycle paths. Take time to read and try to comprehend. 10. set_max_transition     By setting max transition value, our design checks that all ports and pins are meeting the specified limits mentioned in SDC. If these are not satisfied then timing report will give DRVs (design rule violations) in terms of slack. This is specified as               set_max_transition 0.5  UBUF1/A setting maximum limit of 500ps on pin A of Buffer1. 11. set_max_capacitance     This is same as max transition, setting the maximum capacitance value. if our design not meeting this value then violation will occur. This will also reports under design rule violations in terms of slack.     set_max_capacitance 0.7 [all_nets] setting maximum capacitance of 700fF on all nets. similarly, set_max

SDC (Synopsys Design Constraints) contents part 2

 Dear readers, This is the continuation of SDC contents. Today we will talk about other clock related attributes such as clock latency, uncertainty. 3. set_clock_latency     Latency is the delay taken by a clock signal to reach the clock pin of a register from the clock source. This delay can be added by clock buffers and parasitic on the net. It is of two types. Source latency and Network latency.       Source latency is the delay from the clock source to the clock definition point. This is also called insertion  delay. This could represent either on-chip or off-chip. Network latency is the delay from clock definition point to clock pin of a flipflop. Therefore, Clock latency = Source latency + Network latency. This is shown in below figure for on-chip and off-chip.      Network latency is an estimated delay before clock tree is built in CTS stage. Once clock tree is built this is ignored and actual delay came into picture. However, source latency is same even after CTS stage.     

SDC (Synopsys Design constraints) contents part 1

       Dear readers, Today we will talk about the contents of the SDC, including commands. Concepts of skew, latency, uncertainty and more. However, since this might get lengthy, we will only cover a few of them here, covering the rest in later posts. Hope you guys have fun reading it. In order to execute STA on the design, you will need to specify the clock for the flipflops and timing restrictions for all the ways in the design and all the ways out of the design. Clock Attributes 1. create_clock        This creates a clock in the current design at the declared source and defines its period and waveform. The STA uses this information to propagate the waveform across the clock network to the clock pins of all sequential elements driven by this clock source. This is also called master clock.      Ex1: create_clock -period 10 -waveform{0 5} -name CLK [get_ports SCLK] - name of the clock is CLK having period of 10ns with rising edge at 0ns and falling edge at 5ns is defined at the port

Inputs to the STA tool

Dear Readers,    Today we will be discussing the inputs needed for the STA tool and the importance of each input file and its contents. This will be a lengthy and informative presentation. I hope this learning is enjoyable for you all. Inputs for the STA tool 1. Gate level netlist 2. SDC (Synopsys Design Constraints) 3. .Lib 4. SPEF (Standard Parasitic Exchange Format) 5. SDF (Standard Delay format) 1. Gate level netlist:       The tool will receive the netlist after routing as input. Our design information and its connections, including metals and vias, can be found here. 2. SDC:        To ensure that the design meets its timing requirements, clock constraints are required in SDC. The contents of SDC include clock-related information such as clock period, clock latency, uncertainty, and transitions. And IO delay modelling like input and output delays, load, max fanout, max capacitance and max transition etc.., we will discuss the SDC contents in detail in the next post including the c