Skip to main content

Design Engineer - STA, SD, Power, PDN at Dew Software

Hello Dear Readers,   Currently at Dew Software Bangalore vacancy for Design Engineer - STA, SD, Power, PDN role. Dew Software, a leading player in the Digital Transformation space, is seeking a skilled Design Engineer specializing in STA (Static Timing Analysis), SD (Signal Integrity), Power, and PDN (Power Delivery Network) to join our team. Working with Fortune 500 companies to support their digital innovation and transformation strategies, the Design Engineer will be responsible for ensuring the integrity and efficiency of digital designs through comprehensive analysis and optimization. Dew Software is dedicated to delivering exceptional outcomes with cutting-edge technologies, and this is an excellent opportunity to contribute to the growth and success of our clients. Responsibilities: Perform STA (Static Timing Analysis) to ensure design meets timing requirements Conduct signal integrity analysis to optimize signal integrity and minimize signal integrity issues Provide power anal

Verilog HDL Coding Practice Question

 Hello Dear Readers, 

Today first time we will go to the pages part in which I will post differents types of practice questions that are more frequently asked by the interviewer.

Q-1:

See the verilog code I and II and explain what kind of dissimilarity is in between it and which code removes the glitches at the output and why.

Code-I:

module MUX2_1(input a,b,sel, output out);

wire sel_b,a1,b1;

not g1(sel_b,sel);

and g2(a1,a,sel_b);

and g3(b1,b,sel);

or  g4(out,a1,b1);

endmodule


Code-II:

module MUX2_1(input a,b,sel, output out);

wire sel_b,a1,b1,ab;

not g1(sel_b,sel);

and g2(a1,a,sel_b);

and g3(b1,b,sel);

and g4(ab,a1,b1);

or  g5(out,a1,b1,ab);

endmodule

Write down your answer in the comments and we will discuss it.

Q-2: In which conditions latches are created during RTL coding.


Connect with me 





Comments

  1. Both code are same !!!

    ReplyDelete
    Replies
    1. Yes sorry now it has updated and thanks for feedback

      Delete
    2. Ok sir now second code I think cancel the glitches.

      Delete
    3. Answer of the second question is I think incomplete sensitivity list,case statement if we forgot any conditions etc ...

      Delete
  2. First code because it is contain last or gate logic so output is avoid static hazards.

    ReplyDelete
  3. First code is cancelled glitches

    ReplyDelete
  4. First code avoid glitches

    ReplyDelete
  5. Second code glitches free because of last AND gate logic.

    ReplyDelete
  6. First code cancel glitches and for second question if we not used all possibility inside case statements.

    ReplyDelete
  7. Latches are created during if any case is not declared and not even matches with default stmt

    ReplyDelete

Post a Comment

Popular posts from this blog

Apprenticeship CAI at MediaTek Bangalore

Hello Dear Readers,   Currently at MediaTek Bangalore vacancy for an Apprenticeship CAI role. Job Description: B.Tech degree in Electrical/Electronics Engineering with a strong educational background in Digital circuit design Experience in physical design of high performance design with frequencies > 2 Ghz. Experienced in hierarchical design, budgeting, multiple voltage domains and multiple clock domains. Strong skills with Cadence Encounter. Solid understanding of STA and timing constraints. Experienced in working on advanced process nodes (16nm). Strong expertise in Physical Verification to debug LVS/DRC issues at the block level. Requirement: B.Tech degree in Electrical/Electronics Engineering with strong educational background in Digital circuit design Experience in physical design of high performance design with frequencies > 2 Ghz. Experienced in hierarchical design, budgeting, multiple voltage domains and multiple clock domains. Strong skills with Cadence Encounter. Solid

Power Analysis in the VLSI Chip Design

  Hello Dear Readers,   Today in this series of posts I will provide some deep insight into Power Analysis in the VLSI Chip Design. The power analysis flow calculates (estimates of) the active and static leakage power dissipation of the SoC design. This electrical analysis step utilizes the detailed extraction model of the block and global SoC layouts. The active power estimates depend on the availability of switching factors for all signals in the cell netlist. Representative simulation test cases are applied to the netlist model, and the signal value change data are recorded. The output data from the power analysis flow guide the following SoC tape out release assessments:  Total SoC power specification (average and standby leakage): The specification for SoC power is critical for package selection and is used by end customers for thermal analysis of the product enclosure. In addition to the package technology selection, the SoC power dissipation is used to evaluate the die attach ma

IC Physical Design (PnR) at Ulkasemi

Hello Dear Readers,   Ulkasemi  has a vacancy for an IC Physical Design (PnR) role. Job Overview: As a full-time Trainee Engineer, the individual will be working on IC Physical Design implementation from RTL to GDSII to create design databases ready for manufacturing with a special focus on power, performance & area optimization with next-generation state-of-the-art process technologies. Job Responsibilities: Perform physical design implementation which includes Floor planning, Power Planning, Clock Tree Synthesis, Place and Route, ECO, Logic Equivalence checks Timing analysis, physical & electrical verification, driving the sign-off closure meeting schedule, and design goals Develop flow, methodologies, and automation scripts for various implementation steps Follow the instructions, compile documents, prepare deliverables, and report to the team lead Should remain up to date with the latest technology trends Educational Qualification:   B.Sc/M.Sc   in EEE or equivalent degree