Skip to main content

Design Engineer - STA, SD, Power, PDN at Dew Software

Hello Dear Readers,   Currently at Dew Software Bangalore vacancy for Design Engineer - STA, SD, Power, PDN role. Dew Software, a leading player in the Digital Transformation space, is seeking a skilled Design Engineer specializing in STA (Static Timing Analysis), SD (Signal Integrity), Power, and PDN (Power Delivery Network) to join our team. Working with Fortune 500 companies to support their digital innovation and transformation strategies, the Design Engineer will be responsible for ensuring the integrity and efficiency of digital designs through comprehensive analysis and optimization. Dew Software is dedicated to delivering exceptional outcomes with cutting-edge technologies, and this is an excellent opportunity to contribute to the growth and success of our clients. Responsibilities: Perform STA (Static Timing Analysis) to ensure design meets timing requirements Conduct signal integrity analysis to optimize signal integrity and minimize signal integrity issues Provide power anal

Designing of the 4 Tap FIR Filter Using Verilog HDL

 Hello Dear Readers,

Here I have designed a 4 tap FIR filter using Verilog languages and some parts of the Python language to just print the input and output samples that are generating Verilog HDL.
So Let's see the Code of the complete system.
So first of all FIR filter is a system which transfer function has a finite number of impulsive points corresponding to the type of the filters such as high pass, low pass, bandpass, etc... so it has generally two types of structure as shown in the below,


FIR Filter Structures:



Here I have used the first structure in which first multiply input samples with impulse responses so without delay products is available now we give delays to that data means here we have implemented shifted adder for MAC operation of the digital filter.


Verilog Code:

module fir_4tap(input Clk,input signed [7:0] Xin,output reg signed [15:0] Yout);    
//Internal variables.
wire signed   [7:0] H0,H1,H2,H3;
wire signed   [15:0] MCM_block0,MCM_block1,MCM_block2,MCM_block3,shift_add_out1,shift_add_out2,shift_add_out3,Q1,Q2,Q3;    
//filter coefficient initializations.
//h(n) = [-1 -2 5 -1].
    assign H0 = -1;
    assign H1 = -2;
    assign H2 = 5;
    assign H3 = -1;
//Multiple constant multiplications.
    assign MCM_block3 = H3*Xin;
    assign MCM_block2 = H2*Xin;
    assign MCM_block1 = H1*Xin;
    assign MCM_block0 = H0*Xin;
//adders
    assign shift_add_out1 = Q1 + MCM_block2;
    assign shift_add_out2 = Q2 + MCM_block1;
   assign shift_add_out3 = Q3 + MCM_block0;    
//flipflop instantiations (for introducing a delay).
    DFF dff1 (.Clk(Clk),.D(MCM_block3),.Q(Q1));
    DFF dff2 (.Clk(Clk),.D(shift_add_out1),.Q(Q2));
    DFF dff3 (.Clk(Clk),.D(shift_add_out2),.Q(Q3));
//Assign the last adder output to final output.
    always@ (posedge Clk)
        Yout <= shift_add_out3;
endmodule
module DFF(input Clk,input [15:0] D,output reg [15:0] Q);
    
    always@ (posedge Clk)
        Q = D;
    
endmodule
 

module test_bench;

    // Inputs
    reg Clk;
    reg signed [7:0] Xin ;

    // Outputs
    wire signed [15:0] Yout;
integer outfile1,outfile2;

    // Instantiate the Unit Under Test (UUT)
    fir_4tap uut (
        .Clk(Clk), 
        .Xin(Xin), 
        .Yout(Yout)
    );
    
    //Generate a clock with 10 ns clock period.
    initial Clk = 0;
    always 
#5 Clk =~Clk;
 
//Initialize and apply the inputs.
    initial begin
          Xin =0;  #40;
  outfile1=$fopen("output.txt","w");
           outfile2=$fopen("input.txt","w");
$fwrite(outfile1,"%d\n",Xin);  //write as decimal
$fwrite(outfile2,"%d\n",Yout);  //write as decimal
          Xin =0.5; #10;
$fwrite(outfile1,"%d\n",Xin);  //write as decimal
$fwrite(outfile2,"%d\n",Yout);  //write as decimal
          Xin =1;  #10;
$fwrite(outfile1,"%d\n",Xin);  //write as decimal
$fwrite(outfile2,"%d\n",Yout);  //write as decimal 
          Xin =1.5;  #10;
$fwrite(outfile1,"%d\n",Xin);  //write as decimal
$fwrite(outfile2,"%d\n",Yout);  //write as decimal 
          Xin =2; #10;
$fwrite(outfile1,"%d\n",Xin);  //write as decimal
$fwrite(outfile2,"%d\n",Yout);  //write as decimal
          Xin =1.6; #10;
$fwrite(outfile1,"%d\n",Xin);  //write as decimal
$fwrite(outfile2,"%d\n",Yout);  //write as decimal
          Xin =0.8;  #10;
$fwrite(outfile1,"%d\n",Xin);  //write as decimal
$fwrite(outfile2,"%d\n",Yout);  //write as decimal
          Xin =0.5; #10;
$fwrite(outfile1,"%d\n",Xin);  //write as decimal
$fwrite(outfile2,"%d\n",Yout);  //write as decimal
          Xin =0;  #10;
$fwrite(outfile1,"%d\n",Xin);  //write as decimal
$fwrite(outfile2,"%d\n",Yout);  //write as decimal
          Xin =-0.5;  #10;
$fwrite(outfile1,"%d\n",Xin);  //write as decimal
$fwrite(outfile2,"%d\n",Yout);  //write as decimal
Xin =-1;  #10;
$fwrite(outfile1,"%d\n",Xin);  //write as decimal
$fwrite(outfile2,"%d\n",Yout);  //write as decimal
Xin =-1.2;  #10;
$fwrite(outfile1,"%d\n",Xin);  //write as decimal
$fwrite(outfile2,"%d\n",Yout);  //write as decimal
Xin =-2;  #10;
$fwrite(outfile1,"%d\n",Xin);  //write as decimal
$fwrite(outfile2,"%d\n",Yout);  //write as decimal
Xin =-1.7;  #10;
$fwrite(outfile1,"%d\n",Xin);  //write as decimal
$fwrite(outfile2,"%d\n",Yout);  //write as decimal
Xin =-1.2;  #10;
$fwrite(outfile1,"%d\n",Xin);  //write as decimal
$fwrite(outfile2,"%d\n",Yout);  //write as decimal
Xin =-0.8;  #10;
$fwrite(outfile1,"%d\n",Xin);  //write as decimal
$fwrite(outfile2,"%d\n",Yout);  //write as decimal
Xin =-0.4;  #10;
$fwrite(outfile1,"%d\n",Xin);  //write as decimal
$fwrite(outfile2,"%d\n",Yout);  //write as decimal
Xin =0;  #10;
$fwrite(outfile1,"%d\n",Xin);  //write as decimal
$fwrite(outfile2,"%d\n",Yout);  //write as decimal
$fclose(outfile1);
        $fclose(outfile2);
    end    
endmodule


Simulational Results:



Summary of the Obtained Timing Specification:
Timing Specification:

     1.Minimum period: 3.644ns (Maximum   Frequency: 274.424MHz)

     2. Minimum input arrival time before clock: 9.081ns (Setup Time)

    3. Maximum output required time after clock: 4.040ns (Hold Time)





Comments

  1. Great post you are first person who is this much technically writing blogging keep it up.

    ReplyDelete
  2. what a post brother thanks for posting i have try it and change according to my requirement.

    ReplyDelete
  3. It is in complete level of the data path means RTL Verilog code right? what we do for the gate level code.

    ReplyDelete
    Replies
    1. So you need to design adder and multiplier at gate level and then instant them in your main code where addition and multiplication is required.

      Delete

Post a Comment

Popular posts from this blog

Apprenticeship CAI at MediaTek Bangalore

Hello Dear Readers,   Currently at MediaTek Bangalore vacancy for an Apprenticeship CAI role. Job Description: B.Tech degree in Electrical/Electronics Engineering with a strong educational background in Digital circuit design Experience in physical design of high performance design with frequencies > 2 Ghz. Experienced in hierarchical design, budgeting, multiple voltage domains and multiple clock domains. Strong skills with Cadence Encounter. Solid understanding of STA and timing constraints. Experienced in working on advanced process nodes (16nm). Strong expertise in Physical Verification to debug LVS/DRC issues at the block level. Requirement: B.Tech degree in Electrical/Electronics Engineering with strong educational background in Digital circuit design Experience in physical design of high performance design with frequencies > 2 Ghz. Experienced in hierarchical design, budgeting, multiple voltage domains and multiple clock domains. Strong skills with Cadence Encounter. Solid

Power Analysis in the VLSI Chip Design

  Hello Dear Readers,   Today in this series of posts I will provide some deep insight into Power Analysis in the VLSI Chip Design. The power analysis flow calculates (estimates of) the active and static leakage power dissipation of the SoC design. This electrical analysis step utilizes the detailed extraction model of the block and global SoC layouts. The active power estimates depend on the availability of switching factors for all signals in the cell netlist. Representative simulation test cases are applied to the netlist model, and the signal value change data are recorded. The output data from the power analysis flow guide the following SoC tape out release assessments:  Total SoC power specification (average and standby leakage): The specification for SoC power is critical for package selection and is used by end customers for thermal analysis of the product enclosure. In addition to the package technology selection, the SoC power dissipation is used to evaluate the die attach ma

IC Physical Design (PnR) at Ulkasemi

Hello Dear Readers,   Ulkasemi  has a vacancy for an IC Physical Design (PnR) role. Job Overview: As a full-time Trainee Engineer, the individual will be working on IC Physical Design implementation from RTL to GDSII to create design databases ready for manufacturing with a special focus on power, performance & area optimization with next-generation state-of-the-art process technologies. Job Responsibilities: Perform physical design implementation which includes Floor planning, Power Planning, Clock Tree Synthesis, Place and Route, ECO, Logic Equivalence checks Timing analysis, physical & electrical verification, driving the sign-off closure meeting schedule, and design goals Develop flow, methodologies, and automation scripts for various implementation steps Follow the instructions, compile documents, prepare deliverables, and report to the team lead Should remain up to date with the latest technology trends Educational Qualification:   B.Sc/M.Sc   in EEE or equivalent degree